Illumination optics for source-mask optimization software

Optical lithography, key enabling technology for our. Excimerlaser metrology improves lithography process. Simulation tools for advanced mask aligner lithography. At the same time, the flexibility of the illuminator must also be improved, leading to more complex. Efficient source mask optimization with zernike polynomial. Mo exposure optics for the suss mask aligner improves the light uniformity and therefore increases the yield and reduce maintenance. Technologists from globalfoundries and nikon report on computational lithography solutions in manufacturing. Source mask optimization, scanners, fiber optic illuminators, critical dimension metrology, photomasks, optical proximity correction, semiconducting wafers, sraf, 3d modeling, printing. Aug 26, 2010 mo exposure optics moeo, is a novel mask aligner illumination system for all suss microtec mask aligners. The sourcemask optimization process also has significant implications for chip physical designers. Illumination source optimization in optical lithography. In 22nm optical lithography and beyond, source mask optimization smo becomes vital for the continuation of advanced arf technology node development. Apr 25, 2018 the diffraction at the photomask causes phase effects, which lead to very similar effects in wafer printing as the aberrations of projection optics. In relation to this, cadence design systems has developed software optimizing custom lithographic source illumination, an innovation in its integrated source mask optimization smo technology family for ic production at 22nm and beyond.

The software reduces the need for experimental layout optimization and simplifes process development. Flexible illumination for ultrafine resolution with 0. Various lithography retargeting techniques have been introduced to mitigate these process window failures. For several years now, mask optimization techniques have been improving. Pdf illumination source optimization in optical lithography. This facilitates the exposure and mask patterns to be set for specific production situations with a reduced experimental effort, and reduces illumination and process errors. Optimization of source, mask and projection optics asml. Illumination source optimization so in optical lithography is generally performed under a simulation model that does not consider critical effects such as the vectorial nature of light and mask. High pupil flexibility ensures support of source mask optimization smo. In this paper, we introduce the zernike polynomials as basis functions to represent the. In a lithovision presentation entitled smo application for 28 nm metal patterning at globalfoundries using the s620d rolf seltmann, globalfoundries fellow, explained the importance of vendortovendor scanner matching and presented source mask optimization smo results.

Source mask optimization smo is a powerful and effective technique to obtain sufficient process stability in optical lithography, particularly in. Mo exposure optics moeo, is a novel mask aligner illumination system for all suss microtec mask aligners. Mo exposure optics, sourcemask optimization, customized illumination 1. For example, lithography tool illumination schemes have been developed using computational models to achieve source mask optimization. Oct 08, 2008 so on designs that anticipate large production runsand that means virtually anything that can afford the design cost at 22 nmthe cost and time for creating a custom illumination mask will be quickly repaid. Since the optics already absorbs 96% of the euv light, the ideal euv source will need to be much. Another source and mask optimization method and system that involves optimizing the source by adjusting pixels of the source is described in u. Process window optimization for mask aligner lithography. Impact of realistic source shape and flexibility on source. Microoptics mo exposure optics system for all suss.

Technologists from globalfoundries and nikon report on. Lithography target optimization with sourcemask optimization. Recent examples of such technologies include advanced reticle designs that correct for optical proximity effects, use of double or multiplepatterning exposure methods, computational techniques that achieve sourcemask optimization smo and freeform scanner illumination technologies. It provides outstanding light uniformity and allows customized illumination and source mask optimiza tion in a mask. This paper proposes to bring the lithography target optimization into the sourcemask. Osa illumination source optimization in optical lithography. May 10, 2012 the projection optics is sometimes referred to as lens, and therefore the joint optimization process may be termed source mask lens optimization smlo. Cadence custom lithography technology addresses 22. Illumination pupil optical proximity correction mo exposure optics abstract. Diffractive optical elements does are employed by the microlithography industry in source mask optimization smo, where they maximize efficiency and reduce system complexity in the creation of arbitrary illumination profiles needed for printing at the 22nm node and below. Source mask optimization smo is a leading resolution. Pdf source mask optimization techniques are gaining increasing attention as ret. Source mask optimization smo is widely used to make stateoftheart semiconductor devices in highvolume manufacturing.

Osa efficient source and mask optimization with augmented. Its solid modeling, monte carlo ray tracing, and optimization features make it a versatile tool for illumination designers and optical analysts. Ansys optical simulation software uniquely simulates a systems optical performance and evaluates the final illumination effect. Arf immersion lithography supports highvolume 14nm chip manufacturing. Optics, enabling source shape and sourcemask optimization binary, graytone, or phase shift masks any substrate material, coating, resist, topography thick resist and resist bleaching projection lithography the intensities are computed based on fraunhofer diffraction theory solving the hopkins equation, followed by propagation. When a numerical aperture becomes large and the critical dimension reaches subwavelength, the prediction of this model generally fails.

The holistic lithography source mask optimization smo methodology aims to increase the overall litho performance and achieve a robust process window for the most challenging patterns by balancing between the mask and illumination source design influences. Additionally, however, the illumination source will be simultaneously optimized. Simulation tools for advanced mask aligner lithography suss. Simulation analysis for the use of pixelated illumination from source mask optimization for 22 nm logic lithography process. Department of photonics and institute of electro optical engineering, national chiao tung university, hsinchu. Figure 3 shows the validation of the ope optimization and the freeform pupilgram of the source mask optimization. Dec 02, 20 source mask optimization smo is widely used to make stateoftheart semiconductor devices in highvolume manufacturing. The projection optics is sometimes broadly referred to as lens, and therefore the joint optimization process may be termed source mask lens optimization smlo. Zeiss has experience in aerial imaging technology and aims for more than 20 years and has become a defactoindustry. Nov 18, 2014 in a lithographic projection apparatus, a source provides illumination i. When sourcemask optimization is performed, the resulting shape will resemble the closest of.

Smo, ilt, opc, optical aberrations, scanner aberrations, zernike. Us patent for discrete source mask optimization patent. The intensities in the resist are calculated by incoherent superposition of the partially coherent beam with parameters wavelength, numerical aperture na, beam size on substrate, or beam radius, and focal length of the tool optics. Illumination source optimization so in optical lithography is generally performed under a simulation model that does not consider critical effects such as the vectorial nature of light and mask topography. Finally, imec proved the potential of freeform illumination with a demonstration of double patterning into a hard mask of the contact and metal layer for a 22nm node sram of 0. The projection optics is sometimes referred to as lens, and therefore the joint optimization process may be termed source mask lens optimization smlo. Suss microtec, advanced mask aligner lithography amalith. Optimization of illumination pupils and mask structures for proximity printing k.

In lithography the subject of optimization is the mask which is. Using machinelearning to optimize phase contrast in a low. Light simulation optical simulation software ansys. We manage these effects in much the same way, using opc, assist features and sourcemask optimization, said michael lercel, director of product marketing at asml. Cadence custom lithography technology addresses 22nanometer. Optimized custom litho source illumination delivers the superior. Experimental result and simulation analysis for the use of pixelated illumination from source mask optimization for 22nm logic lithography process spie proceedings vol. Pupilgram control for optical proximity effect matching. Through this tight integration of lithography target optimization and source mask optimization, lithography target, source, and mask can be tuned together to provide the best overall process window for the newly defined targets. Finally, we validated the performance of the ope software with exposure tests, using the illuminator with freeform pupilgrams for a typical staticrandomaccessmemory cell and the corresponding source mask optimization result. Another term used to describe the smlo process is source mask pupil optimization smpo, as in certain embodiments, lens optimization is done for the pupil plane of the projection optics.

Lighting conditions affect product performance, human comfort and perception so modeling light propagation and its impact is crucial. Mo exposure optics, source mask optimization, customized illumination 1. High pupil flexibility supports pupil matching and pupil tuning applications. Mo exposure optics allows to customize the angle distribution of the light source and opens the field to optical proximity correction and source mask optimization on mask aligners. Search the leading research in optics and photonics applied research from spie journals, conference proceedings and presentations, and ebooks. For annular illumination, the source shape is described by the rectangular function. Parametric sourcemasknumerical aperture cooptimization for. Tracepro is a powerful illumination and nonimaging optical design and analysis program with an easytouse graphical interface. Introduction the concept of lithographic process window optimization2,3 goes back to the late 80s. Optics for process window optimization and best pattern fidelity. Illumination optics for sourcemask optimization nasaads. Edn cadence, tessera team to optimize illumination for 22.

With further optimization of the illumination discussed in the section on sourcemask optimization, the lower limit can be. Software simulation of critical features and shortenings, optimized with customized illumination and opc. Lighttools illumination design software lighting simulation software. The pixelbased method permits a large solution space, but involves a timeconsuming optimization procedure because of the large number of pixel variables. Extreme ultraviolet lithography resource learn about. Abstract in the very low k 1 regime in optical lithography, aggressive ret such as strong offaxis illumination causes significant forbidden pitches and lithography hotspots for aggressive designs. In a lithographic projection apparatus, a source provides illumination i.

Illumination optics for sourcemask optimization illumination optics for sourcemask optimization mizuno, yasushi 20100409 00. Jun 23, 2014 jena, germanybusiness wirezeiss introduces the next generation of photomask qualification system aims 1x193i at this year. Benitez p, santamaria a 2009 freeform optics for illumination. Arf immersion lithography supports highvolume 14nm chip. In a lithovision presentation entitled smo application for 28 nm metal patterning at globalfoundries using the s620d rolf seltmann, globalfoundries fellow, explained the importance of vendortovendor scanner matching and presented source mask optimization smo. Optimization of illumination pupils and mask structures. Fast source optimization involving quadratic linecontour objectives for the resist image juechin yu,1 peichen yu,1, and hsuehyung chao2 1. So on designs that anticipate large production runsand that means virtually anything that can afford the design cost at 22 nmthe cost and time for creating a custom illumination mask will be quickly repaid. Source mask optimization, together with suss microtecs customizable mo exposure optics form an important contribution to improvement of process stability in mask aligner. In computational lithography this principle is known as sourcemask optimization smo which reduces the critical dimension cd, defined by the smallest feature size of the mask which can be imaged, by optimizing a freeform light source using e. Osa hybrid source mask optimization for robust immersion.

This improvement is demonstrated using a simple smo test case for the 20nm metal layer. Cdns, the leader in global electronic design innovation, today announced the availability of software that optimizes custom lithographic source illumination, a new capability in its integrated source mask optimization smo technology family for ic manufacturing at 22 nanometers and beyond. The term projection optics is broadly defined here to include any optical component that. To realize mature smo solutions in production, the intelligent illuminator, which is an illumination system on a nikon scanner, is useful because it can provide generation of freeform sources with high fidelity to the target. Mo exposure optics, sourcemask optimization, customized illumination. Aims enables the user to qualify the optical performance of a mask under scanner equivalent illumination conditions. Source mask optimization, scanners, fiber optic illuminators, critical dimension metrology, photomasks, optical proximity correction, semiconducting wafers.

Lithographic process window optimization for mask aligner proximity lithography reinhard voelkela. Excimerlaser metrology improves lithography process control. The smo approach borrows many of the performance enhancement methods of opc software for mask correction, but is especially designed as to simultaneously optimize a pixelated source shape as nowadays available in production environments. Computational lithography is the first book to address the computational optimization of rets in optical lithography, providing an indepth discussion of optimal optical proximity correction opc, phase shifting mask psm, and offaxis illumination oai ret tools that. Models all major exposure tools for mask or water exposure. Recent examples of such technologies include advanced reticle designs that correct for optical proximity effects, use of double or multiplepatterning exposure methods, computational techniques that achieve source mask optimization smo and freeform scanner illumination technologies. Edn cadence, tessera team to optimize illumination for. Us8893060b2 optimization of source, mask and projection. To keep pace with the shrinkage of critical dimension, source and mask optimization smo has emerged as a promising resolution enhancement technique to push the resolution of 193 nm argon fluoride immersion lithography systems. Fast source optimization involving quadratic linecontour.

Smlo is desirable over existing source mask optimization process smo, partially because including the projection optics in the optimization can lead to a larger process window by introducing a plurality of adjustable characteristics of the projection optics. Embodiments of the present invention provide methods for optimizing a lithographic projection apparatus including optimizing projection optics therein, and preferably including optimizing a source, a mask, and the projection optics. Extreme ultraviolet lithography is a lithography technology using a range of extreme ultraviolet. Source mask optimization smo 1 is proposed and being developed for the 32 nm generation and beyond in order to extend dose focus margin by simultaneous optimization of the illuminator source shape and a customized mask. For example, it can be used to optimize the mask, sometimes called sourcemask optimization. Litho, a second simulation tool developed by fraunhofer iisb fro frontend. Computational lithography is the first book to address the computational optimization of rets in optical lithography, providing an indepth discussion of optimal optical proximity correction opc, phase shifting mask psm, and offaxis illumination oai ret tools that use modelbased mathematical optimization approaches. Mask shadowing effect is the consequence of the euv mask absorber height and the nontelecentric illumination at mask level, which modulates the captured intensity from the shadowed mask area through the reflective optics onto the wafer. Smo, ilt, opc, optical aberrations, scanner aberrations, ze rnike. Introduction microlithography in mask aligners is widely used for transferring a geometric pattern of microstructures from a photomask to a light sensitive photoresist coated on a wafer or substrate by exposing both with ultraviolet light, whereas.

Optical proximity corrections also have been used to compensate for distortions created by optical proximity effects on highdensity patterns. Zeiss aims 1x193i addresses the challenges of increased feature complexity, tighter mask specifications and more accurate and reliable defect disposition by offering an excellent cd repeatability and full illumination flexibility utilizing flexillu, a computer controlled illumination and key enabler for smo technology. Predictive illuminator model is integrated in tachyon smo software. The smo approach borrows many of the performance enhancement methods of opc software for mask correction, but is especially designed as to simultaneously optimize a pixelated source shape as nowadays. Zeiss launches next generation aims system business wire. The term projection optics is broadly defined here to include any optical component that may alter the wavefront of the radiation beam. Selfcalibrating light source no lamp alignment after lamp exchange, improved light uniformity, telecentric illumination and the possibility of freely shaping the angular spectrum are main advantages. Source optimization capability has been around a long time, said. Introduction microlithography in mask aligners is widely used for transferring a geometric pattern of microstructures from a photomask to a lightsensitive photoresist coated on a wafer or substrate by exposing both with ultraviolet light, whereas. Jul, 2010 finally, imec proved the potential of freeform illumination with a demonstration of double patterning into a hard mask of the contact and metal layer for a 22nm node sram of 0. The aims 1x193i works with 193nm illumination and benefits from a completely redesigned optical system.

931 1206 621 195 512 1526 1537 300 235 360 710 544 1362 571 100 532 840 831 1146 409 251 1127 131 1410 736 492 880 299 985 1317 796 358 572 698 131 198 818